🔥码云GVP开源项目 12k star Uniapp+ElementUI 功能强大 支持多语言、二开方便! 广告
instanceof 判断后代子类 >[info]判断左边的对象是否是右边类的后代(实例化的结果) * * * ``` class Person {  public $name = '苍老师'; } class Boy extends Person {  public $name = '小泽泽'; } class Girl {  public $name = '小静静'; } // 实例化Person类 $p = new Person; $b = new Boy; $g = new Girl; var\_dump($p instanceof Person); // true var\_dump($b instanceof Person); // true var\_dump($g instanceof Person); // false var\_dump($p instanceof Boy); // false ```