企业🤖AI智能体构建引擎,智能编排和调试,一键部署,支持私有化部署方案 广告
## 二进制和BCD编码都是什么? ` `这里所说的二进制,就是一个变量(整数)的实际值的意思,比如一个整数10,那么我们说他的二进制的值就是10,这个没啥好说的。 主要是BCD编码,在数据的传输中,数据都是以字节(byte)为单位进行的传输,一个字节有8个位(bit),那么一个字节能够传递的数值的范围就是0~255(十六进制为0x00~0xFF)。这个时候,比如我们要传递一个值35,那么用十六进制表示就是0x23。一般情况下是没有问题的,但是在某些应用中就存在一定的问题,比如数码管显示上经常需要将数值的每个位都提取出来,还是刚才的值35,在数码管显示的特定应用中就需要将十位3和个位5分别提取,形成一个新的数据0x35,这样用分别独立的数字来表示二进制值的编码方式就是BCD编码。简单来说可以由下图表示: ![](https://img.kancloud.cn/bf/25/bf25fc58a8eb93c0125637a78d7b092c_822x264.png) ## Verilog算法 ` `点[这里](http://www.eng.utah.edu/~nmcdonal/Tutorials/BCDTutorial/BCDConversion.html),查看英文原文。 这里我们采用一种移位加3的算法来实现这个二进制到BCD转换的功能。(当然如果数据范围比较小的情况下,比如几百个数字,我还是推荐在FPGA中使用查找表的方法来进行) #### 算法:步骤 1. 如果某一权位(百位,十位,个位)大于或者等于5,那么此权位加3。 2. 将二进制数,左移1位到BCD移位寄存器中。 3. 如果二进制数据位都移动完毕,计算结束 4. 返回步骤1 ![](https://img.kancloud.cn/75/41/75414b9475e05aebe2166fe63aa09d34_712x566.png) ### 代码 ![](https://img.kancloud.cn/d9/25/d9256b6e413aa045be643b113e491361_582x818.png) ``` /* bin2bcd.v */ module bin2bcd( input [7:0] bin, output reg [3:0] Hundreds, output reg [3:0] Tens, output reg [3:0] Ones ); integer i; always@(bin) begin Hundreds=4'd0; Tens=4'd0; Ones=4'd0; for(i=7;i>=0;i=i-1) begin if(Hundreds >= 5) Hundreds = Hundreds + 3; if(Tens >= 5) Tens = Tens + 3; if(Ones >= 5) Ones = Ones + 3; Hundreds = Hundreds <<1; Hundreds[0] = Tens[3]; Tens = Tens << 1; Tens[0] = Ones[3]; Ones = Ones << 1; Ones[0] = bin[i]; end end endmodule ```