ThinkChat🤖让你学习和工作更高效,注册即送10W Token,即刻开启你的AI之旅 广告
``` // =========================================================== // Mux2:  A two-input mux model for Lab04, for // use in shift register models. // // Inherits simulation `timescale. // ------------------------------------------------------------ // 2007-01-29 jmw: v. 1.0 implemented. // ============================================================ // module Mux2 (output Z, input Sel, In1, In2); // reg Zreg; // assign Z = Zreg; // always@(\*) begin if (Sel == 1'b0)           Zreg = In1; else Zreg = In2; end // endmodule // Mux2. ```